Top 20 UVM Interview Questions [2021] And Answers

UVM Interview Questions And Answers

In this article, we are going to discuss UVM Interview Questions here.

Top UVM Interview Questions

Q1: What is UVM? What is the benefit of UVM? 

Answer: Universal Verification Methodology, abbreviated as UVM, is utilized for checking complex computer plans. 

UVM Features: 

  • First approach and second assortment of class libraries for Automation 
  • Attachment and Play of check IPs 
  • Nonexclusive Testbench Development 
  • Merchant and Simulator Independent 
  • Keen Testbench, for example, produces lawful improvement from pre-arranged inclusion plan. 
  • Backing CDV – Coverage Driven Verification 
  • Backing CRV – Constraint Random Verification 
  • UVM normalized under the Accellera System Initiative 
  • Register displaying 

Q2: Differentiate uvm_component and uvm_object

Answer: 

uvm_component: 

  • Semi Static Entity (after form stage, it is accessible all through the recreation) 
  • Continuously attached to given hardware(DUT Interface) Or a TLM port 
  • Having a staging system for control the conduct of reproduction 
  • Setup Component Topology 

uvm_object: 

  • Dynamic Entity (make when required, move from one segment to other and then dereference) 
  • Not attached to given equipment or any TLM port 
  • Not staging component 

Q3: Why is staging utilized? Explain various staging 

Answer: Staging is used to avoid race conditions by conducting reenactment in a controlled manner. This should likewise be possible in framework Verilog, however, physically. 

Rundown of UVM Phases: 

  • buid_phase 
  • connect_phase 
  • end_of_elaboration_phase 
  • start_of_simulation_phase 
  • run _phase (task) 

Sub Phases of Reset Phase: 

  • pre_reset_phase 
  • reset_phase 
  • post_reset_phase 
  • pre_configure_phase 

Q4: Which Uvm stage is top-down, base–up, and equal? 

Answer: Only the form stage is top-down and different stages are based up aside from the equal run stage. The forming stage works top-down since the testbench progression might be arranged, so we need to assemble the branches before leaves. 

Q5: Why is the construct stage top-down and the associate stage is base – up? 

Answer: The interface stage is proposed to make TLM associations between parts, so it happens after the forming stage. It stirs base up to get the right execution as far as possible up the plan pecking order. Whenever worked top-down, this would be impractical. 

Q6: Which stage is work and which stage is the task? 

Answer: Only run stage is an errand (tedious stage) and different stages are capacities (non-impeding) 

Q7: Which stage takes additional time and why? 

Answer: The run stage will get executed from the beginning of recreation to work the finish of reproduction. The run stage is tedious, where the test case is running. 

Q8: State merits of UVM? 

Answer: 

UVM Features: 

  • First technique and second assortment of class libraries for Automation 
  • Reusability through test seat 
  • Fitting and Play of check IPs 
  • Conventional Test seat Development 
  • Seller and Simulator Independent 
  • Shrewd Test seat, for example, produces lawful boost as from pre-arranged inclusion plan. 
  • Backing CDV – Coverage Driven Verification 
  • Backing CRV – Constraint Random Verification 
  • UVM normalized under the Accelerate System Initiative 
  • Register demonstrating 

Q9: Contrast Between Module and Class-Based Tb.

Answer: 

A module is a static item present continually during the recreation. 

A Class is a powerful item since they can travel every which way during the existence season of reproduction. 

Q10: Is Uvm dependent On SystemVerilog? 

Answer: 

UVM is a technique dependent on SystemVerilog language and isn’t a language all alone. It is a normalized philosophy that characterizes a few prescribed procedures in confirmation to empower effectiveness as far as to reuse and is presently part of IEEE 1800.2 working gathering. 

Q11: Is there a benefit of using UVM? 

Answer: 

A portion of the advantages of utilizing UVM are: 

  • Particularity and Reusability – The system is planned as secluded segments (Driver, Sequencer, Agents, env, and so on), which empowers reusing segments across the unit level to multi-unit or chip level confirmation just as across projects. 

  • Isolating Tests from Test seats – Tests regarding boost/sequencers are kept separate from the real test seat progressive system. Henceforth, there can be the reuse of upgrades across various units or across projects. 

  • All test systems uphold test system free – The base class library and the philosophy, and consequently, there is no reliance on a particular test system. 

  • Better control on Stimulus age – Sequence system gives great control on boost age. A few manners can be created that incorporate randomization, layered successions, virtual groupings, and so on, which gives a decent control and rich improvement in age ability. 

  • Simple design – Config instruments improve on the setup of articles with profound order. The design system effectively arranges distinctive test seat parts dependent on which confirmation climate utilizes it and without stressing over how profound any segment is in test seat order. 

  • Manufacturing plant instruments – Factory systems work on the alteration of segments without any problem. Making every segment utilizing a processing plant empowers them to be abrogated in various tests or conditions without changing fundamental codebases. 

Q12: Explain the UVM Ral model and its requirement of usage. 

Answer: 

In a confirmation setting, a register model (or register reflection layer) is a bunch of classes that model the memory planned conduct of registers and recollections in the DUST to encourage upgrade age and practical checking (and alternatively a few parts of useful inclusion). The UVM gives many base classes that can be stretched out to execute complete register displaying capacities. 

Q13: Differentiate Uvm Ral Model Backdoor Write/read And Front Door Write/read? 

Answer: 

Textual style entryway access implies utilizing the standard access instrument outside the DUTY to peruse or keep in touch with a register. This generally includes groupings of tedious exchanges on a transport interface. Secondary passage access implies getting to a register straightforwardly using various leveled references or outside the language through the PLI. A secondary passage reference generally in 0 reenactment time. 

Q14. What Is Objection? 

Answer: 

The complaint system in UVM is to permit various leveled status correspondence among segments which is useful in choosing the test’s finish. There is an inherent protest for each in-fabricated stage, which gives an approach to parts and has a problem with synchronizing their testing action and demonstrate when it is protected to end the stage and, eventually, the test end. The part or grouping will mention a stage criticism toward starting a movement that should be finished before the stage stops so that the complaint will be dropped toward the finish of that action. When the entirety of the brought-criticisms is dropped, the stage ends. 

Q15. Explain briefly M_sequencer.

Answer: 

M_sequencer is the default handle for uvm_vitual_sequencer, and m_sequencer is the connect for kid sequencer. M_sequencer is the nonexclusive uvm_sequencer pointer. It will consistently exist for the uvm_sequencer and is introduced when the succession is begun. 

P_sequencer is a composed explicit sequencer pointer, made by enrolling the sequencer’s arrangement utilizing macros (‘uvm_declare_p_sequencer). Being type explicit, you will want to get to anything added to the sequencer (for example, pointers to different sequencers, and so forth) M_sequencer won’t exist on the off chance that we have not enlisted the grouping with the ‘uvm_declare_p_sequencer macros. 

The disadvantage of m_sequencer is that once the m_sequencer is characterized, one can’t run the arrangement on some other sequencer type. 

Q16: In detail, Differentiate Between Active Mode And Passive Mode Concerning Agent.

Answer: 

A specialist is an assortment of a sequencer, a driver, and a screen. In dynamic mode, the sequencer and the driver are developed, and an upgrade is produced by arrangements sending succession things to the driver through the sequencer. Simultaneously the screen collects pin level action into examination exchanges. In latent mode, just the screen is developed, and it plays out a similar capacity as in a functioning specialist. Along these lines, your latent specialist has no requirement for a sequencer. You can set up the screen utilizing an arrangement object.

Q17: What Is Uvm Factory? 

Answer: 

UCM Factory is utilized to (make) UVM articles and parts. Aside from making the UVM articles and parts, the manufacturing plant idea implies that you can adjust or substitute the idea of the segments made by the plant without making changes to the test seat. For instance, if you have composed two driver classes, and the climate utilizes just one of them. By enlisting both the drivers with the manufacturing plant, you can request that the industrial facility substitute the current driver in a climate with the other kind. The code expected to accomplish this is insignificant and can be written in the test. 

Q18: What is a virtual grouping and where do we utilize a virtual succession? What are its advantages?  

Answer: 

A virtual succession is an arrangement that controls boost age across different sequencers. Since successions, sequencers, and drivers are centered around single interfaces, practically all test benches require a virtual grouping to co-ordinate the upgrade and the cooperations across various interfaces. Virtual arrangements are additionally helpful at a Subsystem or System-level test benches to have unit-level successions practiced in a coordinated design. The following graph shows this reasonably where a Virtual grouping has handles to three sequencers associated with drivers for three separate interfaces to DUT. The virtual succession would then produce sub-arranges on every one of the interfaces and run them on the related sub-sequencer. 

Q19: What is a production line? NVDIA top pick? 

Answer: 

A “production line” in UVM procedure is a unique look into the table wherein the entirety of the UVM segments and exchanges are enlisted. The prescribed method to make objects of parts and exchanges in UVM is by utilizing the industrial facility technique called to make(). Making objects utilizing plants likewise helps in subbing an object of one sort with an object of a determined kind without changing the testbench’s construction or altering the testbench code. 

Q20: For what reason would it be a good idea for us to enlist a class with a production line? 

Answer: 

A manufacturing plant is a unique look into a table utilized in UVM for making objects of part or exchange types. The advantage of article creation utilizing an industrial facility is that a testbench fabricates cycle can choose at run-time which item must be made. A class type could be subbed with another determined class type with no genuine code change in light of this. To guarantee this element and capacity, all classes are prescribed to be enlisted with the production line. Assuming you don’t enroll in a class with an industrial facility, you won’t utilize the production line. 

Also read PA School Interview Questions [With Sample Answers]

Top 20 UVM Interview Questions [2021] And Answers

Leave a Reply

Your email address will not be published. Required fields are marked *

Scroll to top